Home » Xeon Clearwater Forest: процесс Intel 18A — это нечто большее

Xeon Clearwater Forest: процесс Intel 18A — это нечто большее

В последние дни они появились интересные технические подробности являются Клируотерский лескодовое имя процессора Ксеон Intel запланировано на 2025 год и полностью основан на Электронное ядро. По сути, это преемник Xeon «Sierra Forest», дебют которого мы увидим в этом году.

У Intel была уже стало известно что ядра (Архитектура Даркмонта) будет производиться с помощью процесса Интел 18Аэволюция 20А, которая впервые представит новые транзисторы ЛентаFET и питание от задней части транзисторов PowerVia.

Однако это лишь одна из инноваций проекта Clearwater Forest, ведь впервые мы увидим передовой пакет, основанный на двух технологиях под названием Foveros Direct 3D и EMIB 3.5D. Первый позволяет соединять сложенные чиплеты вертикально очень близко и обеспечивают высокую пропускную способность.

Foveros Direct 3D основан на так называемом «гибридное соединение» между контактными точками каждого чипа. Вертикальные медные электрические межсоединения выровнены друг с другом, что Intel описывает как «термокомпрессионное соединение вертикальных медных связей». Нечто похожее на технологию TSMC SoIC, которая используется AMD для 3D V- Кэш на процессорах Ryzen.

По данным Intel, Foveros Direct 3D можно использовать как «лицом к лицу», так и «лицом к спине». Также возможно использование пластин и чипов разных производителей. Первое поколение Foveros Direct 3D обеспечит расстояние между пайками 9 мкм.. Следующее поколение уменьшится до 3 мкм.

Для подключения через Foveros Direct 3D: Intel будет производить кристалл Clearwater Forest по технологии Intel 3-T, одна из новых технологий, анонсированных на IFS Direct Connect. В своем документе Intel говорит о наличии локальный кэш на базовом кристалле, который будет добавлен к имеющемуся на плитке вычислений. Это не первый случай, когда Intel интегрирует кэш в Tile Base, она уже сделала это с ускорителем HPC Ponte Vecchio.

Read more:  Республиканская партия Флориды вручает ДеСантису победу над Диснеем, мигранты опередили вероятную заявку на 24 года

В отношении к ЭМИБ 3.5D, это комбинация ЭМИБ и Фоверос., но эти две технологии представляют собой два отдельных этапа процесса и метода. EMIB второго поколения уменьшит шаг выступа с 55 до 45 мкм.

В течение IFS Direct Connect 2024 Генеральный директор Пэт Гелсингер показан предварительный чип от Clearwater Forestс двумя вычислительными плитками в центре и двумя плитками ввода-вывода по бокам, аналогично конструкциям Sierra Forest и Granite Rapids, дебютировавшим в этом году.

2024-02-26 19:31:01


1708983069
#Xeon #Clearwater #Forest #процесс #Intel #18A #это #нечто #большее

Leave a Comment

This site uses Akismet to reduce spam. Learn how your comment data is processed.